Community Profile

photo

Muhammad Rizwan


Last seen: mer än 3 år ago Active since 2019

Statistics

  • Thankful Level 2
  • Thankful Level 1
  • First Answer

View badges

Content Feed

View by

Question


HDL optimized QPSK TX and RX combined
Hi, I am trying to simulate example HDL optimized qpsk Tx and HDL QPSK optimized RX by interconnecting them as written in Rx we...

mer än 3 år ago | 1 answer | 0

1

answer

Question


Sampling rate conversion issues in HDL coder
Hi , I am trying to generate HDL code for the file attached. I am trying to make a state machine which takes data at slow data...

mer än 3 år ago | 2 answers | 0

2

answers

Question


ber rate for QPSK different from theoretical values
Hi, I am trying to simulate BER for QPSK transceiver. I am using example " commqpsktxrx " and bertool to plot ber. What I obs...

mer än 3 år ago | 0 answers | 0

0

answers

Question


Pulse shape filter design
HI I am designing a communication system in which pulse shaping will be required. I am using square root raised cosine transm...

mer än 3 år ago | 1 answer | 0

1

answer

Question


Eye Diagram different values in measurement for histogram and line plot
Hi I am trying to obtain an eye diagram for my filter. For analysis when I use line plot it displays different values for eye ...

mer än 3 år ago | 1 answer | 0

1

answer

Question


Arduino simulink connection error
HI I am trying to run Arduino example on my Arduino uno board from simulink. Every time it shows the same error though I chan...

mer än 3 år ago | 2 answers | 0

2

answers

Question


PIL block generation in a separate file
Hi All, I am trying to generate a PIL block for tx_micro(file attached) for ARDUINO UNO. I ahve tried arduino PIL (https://www...

nästan 4 år ago | 0 answers | 0

0

answers

Question


variable type output to fixed type in DBPSK modulator
HI I am trying to simulate and generate a code for DBPSK modem as given in the attached simulink file. it runs perfect for ...

nästan 4 år ago | 0 answers | 0

0

answers

Question


eye diagram measurements error
HI I have query regarding eye diagram measurements. I am trying to get the eye diagram measurements for my transmitter. I get ...

nästan 4 år ago | 0 answers | 0

0

answers

Question


Plot two spectrum plot in one spectrum plot?
Hi , I have a question regarding plotting of two spectrum plots into one spectrum but resultant plot must be appended after the...

nästan 4 år ago | 1 answer | 0

1

answer

Question


CIC compensation hdl generation error
Hi Matlab Professionals, I have a query regarding the error while generating HDL code for CIC decimation compensation filter,Wh...

nästan 4 år ago | 2 answers | 0

2

answers

Answered
Trigger type 'either' is not supported for HDL code generation.
Dear Kiran, I have attached the file as required. I want to generate hdl code for the subsytem input data formatter. Thanks...

ungefär 4 år ago | 0

Question


Trigger type 'either' is not supported for HDL code generation.
Hi I am generating HDl code for a state flow which is double edge triggered.And I get the error show below. Trigger type 'e...

ungefär 4 år ago | 3 answers | 0

3

answers

Question


state flow to hdl(Fixed point issues)
HI I have attached stateflow chat which is expected to be converted to HDL. I am facing issues while simulating the diagram o...

ungefär 4 år ago | 0 answers | 0

0

answers

Answered
Check Block Compatibiity Error
Hi I encountered the same problem.But my divisor and dividend both are whole numbers That means Dividend is 14 bit signed 2s ...

ungefär 4 år ago | 0

Question


embedded coder for Micro controller
HI I am trying to generate code from Embedded coder for QPSK transmitter.I just want to ask that is there any nice document/tui...

mer än 4 år ago | 1 answer | 0

1

answer